CWE-1223 - Race Condition for Write-Once Attributes

Description

A write-once register in hardware design is programmable by an untrusted software component earlier than the trusted software component, resulting in a race condition issue.

Latest vulnerabilities for CWE-1223

No vulnerabilities found using your search criteria

References

Description of CWE-1223 on Mitre website