CWE-1272 - Sensitive Information Uncleared Before Debug/Power State Transition

Description

The product performs a power or debug state transition, but it does not clear sensitive information that should no longer be accessible due to changes to information access restrictions.

Latest vulnerabilities for CWE-1272

No vulnerabilities found using your search criteria

References

Description of CWE-1272 on Mitre website